C. elegans chemotaxis inspired neuromorphic circuit for contour tracking and obstacle avoidance
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Shibani Santurkar; Bipin Rajendran
Year: 2015
Computational capabilities of recurrent neural networks based on their attractor dynamics
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Jeremie Cabessa; Alessandro E. P. Villa
Year: 2015
Learning valid categorical syllogisms using an associative memory
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Sylvain Chartier; Melissa Johnson
Year: 2015
Metalearning to choose the level of analysis in nested data: A case study on error detection in foreign trade statistics
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Mohammad Nozari Zarmehri; Carlos Soares
Year: 2015
Gradient-descent-based learning in memristive crossbar arrays
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Manu V. Nair; Piotr Dudek
Year: 2015
Neurocontrol of single shaft heavy-duty gas turbine using adaptive dynamic programming
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Yuzhu Huang; Hongde Jiang
Year: 2015
The neural-SIFT feature descriptor for visual vocabulary object recognition
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Sybren Jansen; Amirhosein Shantia; Marco A. Wiering
Year: 2015
Selecting target concept in one-class classification for handling class imbalance problem
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Beatriz Perez-Sanchez; Oscar Fontenla-Romero; Noelia Sanchez-Marono
Year: 2015
Discriminant sparse coding with geometrical constraint
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Hanchao Zhang; Jinhua Xu
Year: 2015
The MC-ELM: Learning an ELM-like network with minimum VC dimension
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Jayadeva; Sumit Soman; Amit Bhaya
Year: 2015
Effectiveness of Random Search in SVM hyper-parameter tuning
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Rafael G. Mantovani; Andre L. D. Rossi; Joaquin Vanschoren; Bernd Bischl; Andre C. P. L. F. de Carvalho
Year: 2015
Semantic extensions to the Vienna Neural Network Specification Language
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Erich Schikuta; Altaf Huqqani; Thomas Kopica
Year: 2015
GPU-based fast parameter optimization for phenomenological spiking neural models
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Zafeirios Fountas; Murray Shanahan
Year: 2015
Following Newton direction in Policy Gradient with parameter exploration
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Giorgio Manganini; Matteo Pirotta; Marcello Restelli; Luca Bascetta
Year: 2015
Bio-inspired hierarchical framework for multi-view face detection and pose estimation
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Niall McCarroll; Ammar Belatreche; Jim Harkin; Yuhua Li
Year: 2015
Learning eye movements strategies on tiled Large High-Resolution Displays using inverse reinforcement learning
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Redwan Abdo A. Mohammed; Oliver Staadt
Year: 2015
A self-learning map-seeking circuit for visual object recognition
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Rohit Shukla; Mikko Lipasti
Year: 2015
HMAX model: A survey
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Chang Liu; Fuchun Sun
Year: 2015
Transient phenomena prediction using recurrent neural networks
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Jonathan Guerra; Patricia Klotz; Beatrice Laurent; Fabrice Gamboa
Year: 2015
A PAA-PSO technique for investment strategies in the financial market
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Victor L. F. Souza; Rodrigo C. Brasileiro; Adriano L. I. Oliveira
Year: 2015
Deep convolutional neural networks as generic feature extractors
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Lars Hertel; Erhardt Barth; Thomas Kaster; Thomas Martinetz
Year: 2015
An investigation into the use of subspace methods for face detection
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Salaheddin Alakkari; Eugene Gath; John James Collins
Year: 2015
Data-driven vocal folds models for the representation of both acoustic and high speed video data
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Carlo Drioli; Gian Luca Foresti
Year: 2015
Echo state networks, artificial neural networks and fuzzy systems models for improve short-term wind speed forecasting
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Ronaldo R. B. de Aquino; Ramon B. Souza; Otoni Nobrega Neto; Milde M. S. Lira; Manoel A. Carvalho; Aida A. Ferreira
Year: 2015
Normal sparse Deep Belief Network
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Mohammad Ali Keyvanrad; Mohammad Mehdi Homayounpour
Year: 2015
Fast-classifying, high-accuracy spiking deep networks through weight and threshold balancing
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Peter U. Diehl; Daniel Neil; Jonathan Binas; Matthew Cook; Shih-Chii Liu; Michael Pfeiffer
Year: 2015
Modelling retinal ganglion cells using self-organising fuzzy neural networks
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Scott McDonald; Dermot Kerr; Sonya Coleman; Philip Vance; T. M. McGinnity
Year: 2015
Design static VAR compensator controller using artificial neural network optimized by modify Grey Wolf Optimization
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Al-Attar Ali Mohamed; Ahmed A. M. El-Gaafary; Yahia S. Mohamed; Ashraf Mohamed Hemeida
Year: 2015
Symbolic associations in neural network activations: Representations in the emergence of communication
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Emerson Silva de Oliveira; Angelo Loula
Year: 2015
Learning the hash code with generalised regression neural networks for handwritten signature biometric data retrieval
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Bernardete Ribeiro; Noel Lopes; Catarina Silva
Year: 2015
Neural-symbolic monitoring and adaptation
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Alan Perotti; Artur d'Avila Garcez; Guido Boella
Year: 2015
Local structure helps learning optimized automata in recurrent neural networks
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Jonathan Binas; Giacomo Indiveri; Michael Pfeiffer
Year: 2015
Indoor localization by denoising autoencoders and semi-supervised learning in 3D simulated environment
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Amirhossein Shantia; Rik Timmers; Lambert Schomaker; Marco Wiering
Year: 2015
Modeling pavlovian conditioning with multiple neuronal populations
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Maxime Carrere; Frederic Alexandre
Year: 2015
Real-time video object recognition using convolutional neural network
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Byungik Ahn
Year: 2015
An autonomous competitive learning algorithm using quantum hamming neural networks
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Mohammed Zidan; Alaa Sagheer; Nasser Metwally
Year: 2015
Adaptive-critic-based control of a synchronous generator in a power system using biologically inspired artificial neural networks
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Jing Dai; Ganesh K. Venayagamoorthy; Ronald G. Harley; Yi Deng; Steve M. Potter
Year: 2015
An approach to handle concept drift in financial time series based on Extreme Learning Machines and explicit Drift Detection
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Rodolfo C. Cavalcante; Adriano L. I. Oliveira
Year: 2015
Neuron-like digital hardware architecture for large-scale neuromorphic computing
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Byungik Ahn
Year: 2015
EEG classification to determine the degree of pleasure levels in touch-perception of human subjects
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Anuradha Saha; Amit Konar; Basabdatta Sen Bhattacharya; Atulya K. Nagar
Year: 2015
Approaching real-world navigation using object recognition network
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Zejia Zheng; Juyang Weng
Year: 2015
The impact of longstanding messages in micro-blogging classification
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Joana Costa; Catarina Silva; Mario Antunes; Bernardete Ribeiro
Year: 2015
Supervised learning in Spiking Neural Networks with limited precision: SNN/LP
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Evangelos Stromatias; John S. Marsland
Year: 2015
Approximate dynamic programming for control of a residential water heater
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Matthew Motoki; Monica Umeda; Matthias Fripp; Anthony Kuh
Year: 2015
Case-based reasoning combined with neural networks for credit risk analysis
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Cesar Silva; Germano Vasconcelos; Hadautho Barros; Gabriel Franca
Year: 2015
Multi-DL-ReSuMe: Multiple neurons Delay Learning Remote Supervised Method
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Aboozar Taherkhani; Ammar Belatreche; Yuhua Li; Liam P. Maguire
Year: 2015
Mixed generative and supervised learning modes in Deep Predictive Coding Networks
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Eder Santana; Jose C. Principe
Year: 2015
Negotiation process for bi-objective multi-agent flexible neural tree model
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Marwa Ammar; Souhir Bouaziz; Adel M. Alimi; Ajith Abraham
Year: 2015
Improved error bounds based on worst likely assignments
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Eric Bax
Year: 2015
A new approach for event detection using k-means clustering and neural networks
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Muyiwa O. Oladimeji; Mikdam Turkey; Mohammad Ghavami; Sandra Dudley
Year: 2015
An analysis of Dynamic Cortex Memory networks
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Sebastian Otte; Andreas Zell; Marcus Liwicki
Year: 2015
An efficient learning method for RBF Neural Networks
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Maryam Pazouki; Zijun Wu; Zhixing Yang; Dietmar P. F. Moller
Year: 2015
Modelling of a retinal ganglion cell with simple spiking models
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:P. Vance; S. A. Coleman; D. Kerr; G. P. Das; T. M. McGinnity
Year: 2015
An evolutionary sampling approach for classification with imbalanced data
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Everlandio R. Q. Fernandes; Andre C. P. L. F. de Carvalho; Andre L. V. Coelho
Year: 2015
Is learning by reading a book better than watching a movie? A computational analysis of semantic concept network growth during text and multimedia comprehension
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Naser S. Al Madi; Javed I. Khan
Year: 2015
Efficient conformal regressors using bagged neural nets
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Ulf Johansson; Cecilia Sonstrod; Henrik Linusson
Year: 2015
A pragmatic approach to multi-class classification
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Thomas Kopinski; Stephane Magand; Uwe Handmann; Alexander Gepperth
Year: 2015
A method for finding similarity between multi-layer perceptrons by Forward Bipartite Alignment
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Stephen Ashmore; Michael Gashler
Year: 2015
Stochastic Local Search for direct training of threshold networks
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Mauro Brunato; Roberto Battiti
Year: 2015
Si elegans: Hardware architecture and communications protocol
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Pedro Machado; Kofi Appiah; T. M. McGinnity; John Wade
Year: 2015
Training neural hardware with noisy components
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Fred Rothganger; Brian R. Evans; James B. Aimone; Erik P. DeBenedictis
Year: 2015
Morphological extreme learning machines applied to detect and classify masses in mammograms
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Washington W. Azevedo; Sidney M. L. Lima; Isabella M. M. Fernandes; Arthur D. D. Rocha; Filipe R. Cordeiro; Abel G. da Silva-Filho; Wellington P. dos Santos
Year: 2015
Investing in emerging markets using neural networks and particle swarm optimisation
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Pascal Khoury; Denise Gorse
Year: 2015
Single-trial detection of realistic images with magnetoencephalography
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Hubert Cecotti; Girijesh Prasad
Year: 2015
Real-time occupancy estimation using environmental parameters
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Mustafa K. Masood; Yeng Chai Soh; Victor W.-C Chang
Year: 2015
Efficient training algorithms for neural networks based on memristive crossbar circuits
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Irina Kataeva; Farnood Merrikh-Bayat; Elham Zamanidoost; Dmitri Strukov
Year: 2015
State Preserving Extreme Learning Machine for face recognition
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Md Zahangir Alom; Paheding Sidike; Vijayan K. Asari; Tarek M. Taha
Year: 2015
Reliable estimation of a neural network's domain of validity through interval analysis based inversion
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:S. P. Adam; D. A. Karras; G. D. Magoulas; M. N. Vrahatis
Year: 2015
Enhanced image classification with a fast-learning shallow convolutional neural network
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Mark D. McDonnell; Tony Vladusich
Year: 2015
EET: Efficient event tracking over emergency-oriented web data
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Qunhui Wu; L. V. Jianghua; Shilong Ma; Hao Wang
Year: 2015
Improving bag of visual words representations with genetic programming
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Hugo Jair Escalante; Jose Martinez-Carraza; Sergio Escalera; Victor Ponce-Lopez; Xavier Baro
Year: 2015
Deterministic chaos in mobile robots
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Federico Da Rold
Year: 2015
Face recognition in unconstrained environments
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Mohammad Taghi Saffar; Banafsheh Rekabdar; Sushil Louis; Mircea Nicolescu
Year: 2015
Incremental learning on a budget and a quick calculation method using a tree-search algorithm
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Akihisa Kato; Hirohito Kawahara; Koichiro Yamauchi
Year: 2015
Multi-prototype local density-based hierarchical clustering
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Leonardo Enzo Brito da Silva; Donald C. Wunsch
Year: 2015
Maximum Length Weighted Nearest Neighbor approach for electricity load forecasting
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Tommaso Colombo; Irena Koprinska; Massimo Panella
Year: 2015
Forecasting the weather of Nevada: A deep learning approach
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Moinul Hossain; Banafsheh Rekabdar; Sushil J. Louis; Sergiu Dascalu
Year: 2015
Memristor based neuromorphic circuit for ex-situ training of multi-layer neural network algorithms
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Chris Yakopcic; Raqibul Hasan; Tarek M. Taha
Year: 2015
DeepSign: Deep learning for automatic malware signature generation and classification
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Omid E. David; Nathan S. Netanyahu
Year: 2015
Composer classification based on temporal coding in adaptive spiking neural networks
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Chaitanya Prasad N; Krishnakant Saboo; Bipin Rajendran
Year: 2015
Single channel wireless EEG device for real-time fatigue level detection
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Li-Wei Ko; Wei-Kai Lai; Wei-Gang Liang; Chun-Hsiang Chuang; Shao-Wei Lu; Yi-Chen Lu; Tien-Yang Hsiung; Hsu-Hsuan Wu; Chin-Teng Lin
Year: 2015
A study on association rule mining of darknet big data
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Tao Ban; Masashi Eto; Shanqing Guo; Daisuke Inoue; Koji Nakao; Runhe Huang
Year: 2015
A CMOS spiking neuron for dense memristor-synapse connectivity for brain-inspired computing
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Xinyu Wu; Vishal Saxena; Kehan Zhu
Year: 2015
Recurrent convolutional neural networks for object-class segmentation of RGB-D video
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Mircea Serban Pavel; Hannes Schulz; Sven Behnke
Year: 2015
Class-preserving manifold learning for detection and classification
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Puoya Tabaghi; Mahmood R. Azimi-Sadjadi
Year: 2015
Arithmetic computing via rate coding in neural circuits with spike-triggered adaptive synapses
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Sushrut Thorat; Bipin Rajendran
Year: 2015
Faster reinforcement learning after pretraining deep networks to predict state dynamics
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Charles W. Anderson; Minwoo Lee; Daniel L. Elliott
Year: 2015
An autonomous online malicious spam email detection system using extended RBF network
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Siti-Hajar-Aminah Ali; Seiichi Ozawa; Junji Nakazato; Tao Ban; Jumpei Shimamura
Year: 2015
A novel dictionary learning algorithm for image representation
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Mouna Dammak; Mahmoud Mejdoub; Chokri Ben Amar
Year: 2015
Data-point and feature selection of motor imagery EEG signals for neural classification of cognitive tasks in car-driving
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Anuradha Saha; Amit Konar; Pratyusha Das; Basabdatta Sen Bhattacharya; Atulya K. Nagar
Year: 2015
Smart meter profiling for health applications
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Carl Chalmers; William Hurst; Michael Mackay; Paul Fergus
Year: 2015
MAS-DEWS: A multi-agent system for predicting Africa's drought
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Muthoni Masinde
Year: 2015
Brains as naturally emerging turing machines
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Juyang Weng
Year: 2015
Classification of EEG signals based on AR model and approximate entropy
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Yong Zhang; Xiaomin Ji; Yuting Zhang
Year: 2015
Predictive event-triggered control based on heuristic dynamic programming for nonlinear continuous-time systems
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Lu Dong; Xiangnan Zhong; Changyin Sun; Haibo He
Year: 2015
Applying the Coral Reefs Optimization algorithm to clustering problems
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Inacio G. Medeiros; Joao C. Xavier-Junior; Anne M. P. Canuto
Year: 2015
Packing equal circles in a damaged square
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Xinyi Zhuang; Ling Yan; Liang Chen
Year: 2015
Self-organization of hippocampal representations in large environments
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Shuang Liu; Bailu Si; Yang Lin
Year: 2015
An analysis of diversity measures for the dynamic design of ensemble of classifiers
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Jose A. S. Lustosa Filho; Anne M. P. Canuto; Joao C. Xavier
Year: 2015
Group buying in social coupon: Myths or facts
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Yuanyuan Man; Mantian Hu; Irwin King
Year: 2015
Where do they look at? Analysis of gaze interaction in children while playing a puzzle game
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Maite Frutos-Pascual; Begonya Garcia-Zapirain; Quasim H. Mehdi
Year: 2015
A Unity 3D framework for algorithm animation
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Nicholas Harshfield; Dar-jen Chang; Rammohan
Year: 2015
Machiavellian agents: Player modelling to deceive and be deceived
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Scott Watson; Andrew Vardy; Wolfgang Banzhaf; Todd Wareham
Year: 2015
Interacting with multiple game genres using Motion Onset Visual Evoked Potentials
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:David Marshall; Ryan Beveridge; Shane Wilson; Damien Coyle
Year: 2015
Classification effects on Motion-Onset Visual Evoked Potentials using commercially available video games
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Ryan Beveridge; David Marshall; Shane Wilson; Damien Coyle
Year: 2015
Solving NP-hard number matrix games with Wisdom of Artificial Crowds
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:J. Redding; J. Schreiver; C. Shrum; A. Lauf; R. Yampolskiy
Year: 2015
A crossword puzzle generator using genetic algorithms with Wisdom of Artificial Crowds
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Douglas Bonomo; Adrian P. Lauf; Roman Yampolskiy
Year: 2015
Exploring options for efficiently evaluating the playability of computer game agents
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Todd Wareham; Scott Watson
Year: 2015
Crimes in, of and by virtual worlds and computer gaming
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Michael Losavio
Year: 2015
Designing immersive affective environments with biofeedback
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Yi Li; Adel S. Elmaghraby; Estate M. Sokhadze
Year: 2015
Video gaming accessibility
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Drew McPheron
Year: 2015
A study of the correlations between Augmented Reality and its ability to influence user behavior
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Nicole Kosoris; Jeff Chastine
Year: 2015
Observations and opportunities in cybersecurity education game design
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Paul Gestwicki; Kaleb Stumbaugh
Year: 2015
Serious games providing opportunities to empower citizen engagement and participation in e-government services
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Alsanossi M. Ahmed; Qasim H. Mehdi; Robert Moreton; Adel Elmaghraby
Year: 2015
High dynamic range and all-focus image from light field
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Chen Li; Xu Zhang
Year: 2015
Color texture restoration
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Michal Haindl; Vojtech Havlicek
Year: 2015
Improvements of RGB-D hand posture recognition using an user-guide scheme
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Huong-Giang Doan; Hai Vu; Thanh-Hai Tran; Eric Castelli
Year: 2015
Normalized cut segmentation with edge constraint for high resolution remote sensing imagery
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Rongrong Gao; Yanfei Zhong; Bei Zhao; Liangpei Zhang
Year: 2015
H∞ optimal control of unknown linear discrete-time systems: An off-policy reinforcement learning approach
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Bahare Kiumarsi; Hamidreza Modares; Frank L. Lewis; Zhong-Ping Jiang
Year: 2015
Value iteration and adaptive optimal control for linear continuous-time systems
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Tao Bian; Zhong-Ping Jiang
Year: 2015
Robust set invariance and contractivity of continuous-time systems
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:George Bitsoris; Marina Vassilaki
Year: 2015
Spectrum estimation in frequency-domain by subspace and regularization-based algorithms: A survey
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Huseyin Akcay
Year: 2015
Continuity of approximate reasoning using fuzzy number under Łukasiewicz t-norm
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Takashi Mitsuishi; Nami Shimada; Toshimichi Homma; Mayumi Ueda; Masayuki Kochizawa; Yasunari Shidama
Year: 2015
Searching inside group approach for combination test suite reduction
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Hao Chen; Xiao-Ying Pan
Year: 2015
On supervisory policies that enforce liveness in controlled Petri nets that are similar
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:E. Salimi; N. Somnath; R. S. Sreenivas
Year: 2015
Regression based on neural incremental attribute learning with correlation-based feature ordering
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Ting Wang; Xiaoyan Zhu; Sheng-Uei Guan; Ka Lok Man; T. O. Ting
Year: 2015
Different regimes for classification of DNA sequences
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Terje Kristensen; Fabien Guillaume
Year: 2015
Intelligent data mining systems by generalized multiple kernel machines on graph based subspace
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Shian-Chang Huang; Tung-Kuang Wu
Year: 2015
Feasibility of adaptive streaming technologies in developing an integrated learning platform
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Tan Kok Kiong; Arun Shankar Narayanan
Year: 2015
Sensor fault identification based on Error-Correcting Output Codes method
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Rui Zhou; Jie Chen; Fang Deng
Year: 2015
Creating ROS launch files using a visual programming interface
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Aditya Narayanamoorthy; Renjun Li; Zhiyong Huang
Year: 2015
Efficient L-shape fitting of laser scanner data for vehicle pose estimation
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Xiaotong Shen; Scott Pendleton; Marcelo H. Ang
Year: 2015
Precise free space detection and its application to background extraction
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Woo-Sol Hur; Seung-Tak Choi; Seong-Woo Kim; Seung-Woo Seo
Year: 2015
Spike code and information flow in cultured neuronal networks and its simulation on 2D mesh network
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Shinichi Tamura; Yoshi Nishitani; Chie Hosokawa; Yuko Mizuno-Matsumoto
Year: 2015
Estimating intrinsic dimension by sparse convex representation
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Lili Li; Jiancheng Lv; Shengqiao Ni
Year: 2015
Social boundary of any two nodes in a social network
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Shilin Fan; Ping Li; Yan Chen; Lixue Chen
Year: 2015
Applying low rank representation based spatial pyramid matching in welding image classification
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Aditya Narayanamoorthy; Xi Peng; Huajin Tang
Year: 2015
Biterm-based multilayer perceptron network for tagging short text
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Hao Hu; Ping Li; Yan Chen
Year: 2015
The leader-following consensus for multiple uncertain Euler-Lagrange systems with a distributed adaptive observer
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:He Cai; Jie Huang
Year: 2015
Distributed output tracking of multi-agent systems with stochastic nonlinear dynamics and multiple leaders
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Wuquan Li; Lu Liu; Gang Feng
Year: 2015
Preliminary design towards a magnetic actuated drug delivery system
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Shen Shen; Shuang Song; Jingling Zhu; Max Q-H Meng; Jim Li; Hongliang Ren
Year: 2015
A humanoid robot with the intelligence of playing a swing
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Chi-Cheng Cheng; Tat Seng Si Tou
Year: 2015
Modelling and simulation of a 12-cell battery power system with fault control for underwater robot
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Zuchang Gao; Junbo Jia; Jinlong Xie; Wei Da Toh; Pengfeng Lin; Hang Lyu; Deddy Julyanto; Cheng Siong Chin; Wai Lok Woo
Year: 2015
A multi-hop microprocessor based prototype system for remote vibration and image monitoring of underwater offshore platform
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Pradeepkumar Krishnamoorthy; Cheng Siong Chin; Zuchang Gao; Weipeng Lin
Year: 2015
An energy efficient extremely low voltage DC-LED lighting system
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Yeon SeokLee; Sand-Ui Choi; Sherif A. S. Mohamed
Year: 2015
Floor-wall boundary estimation by ellipse fitting
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Tomonari Masuzaki; Yasuyuki Sugaya; Kenichi Kanatani
Year: 2015
Use of convolutional neural networks to automate the detection of wildlife from remote cameras
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Rajarshi Maiti; Yi Hou; Colleen Cassady St. Clair; Hong Zhang
Year: 2015
Passivity-based synchronization of a new hyperchaotic Lorenz System
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Ping He; Yangmin Li
Year: 2015
A Bayesian algorithm for vision based navigation of autonomous surface vehicles
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Anderson Lebbad; S. Nataraj
Year: 2015
Modified controls for Doubly Fed Induction Generator under unbalanced voltage distortion for torque stability and PI-Fuzzy Controller
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Hai Nguyen-Thanh; Cuong Vo-Viet
Year: 2015
Observer based PID regulator for a class of factorable nonlinear plants
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Cs Banyasz; L. Keviczky
Year: 2015
Assembly line balancing problem with quality inspection stations
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Waldemar Grzechca
Year: 2015
Contactless manipulation of multiple objects in a plane using multiple air jets
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Tsubasa Matsushita; Satoshi Iwaki
Year: 2015
Rapid prototyping for kinetic architecture
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Peter Ferschin; Monika Di Angelo; Gerhard Brunner
Year: 2015
Outlier removal based on boundary order and shade information in structured light 3D camera
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Huynh T. N. Dung; Sukhan Lee
Year: 2015
Learning surgical know-how: Dexterity for a cognitive endoscope robot
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Andreas Bihlmaier; Heinz Worn
Year: 2015
Bladderless swaying wire-driven Robot Shark
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Wai Pik Lau; Yong Zhong; Ruxu Du; Zheng Li
Year: 2015
Socially assistive robots in service innovation context to improve aged-care quality: A grounded theory approach
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Seyed Mohammad Sadegh Khaksar; Rajiv Khosla; Mei-Tai Chu
Year: 2015
Automatic robot taping: Auto-path planning and manipulation
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Qilong Yuan; Teguh Santoso Lembono; Yuhua Zou; I-Ming Chen
Year: 2015
Keywords and dimensions of artificial companions
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Dominique Duhaut
Year: 2015
A preliminary study of the RADOE project
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Haibin Yan; YuanRui Yang; Renjun Li; Masood Dehghan; Marcelo H. Ang
Year: 2015
Towards a deep feature-action architecture for robot homing
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Abdulrahman Altahhan
Year: 2015
ICP-EKF localization with adaptive covariance for a boiler inspection robot
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Thavida Maneewarn; Kaned Thung-od
Year: 2015
SMART monitoring of surface temperature and moisture content using multisensory data fusion
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Karthick Thiyagarajan; Sarath Kodagoda
Year: 2015
Detection and volume estimation of bubbles in blood circuit of hemodialysis by morphological image processing
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Nannan Li; Lixin Jia; Panpan Zhang
Year: 2015
Observability of dynamic control games
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Hongsheng Qi; Ting Liu; Daizhan Cheng
Year: 2015
Towards fault tolerant perception for autonomous vehicles: Local fusion
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Miguel Realpe; Boris Vintimilla; Ljubo Vlacic
Year: 2015
Kernel neighbor density with parallel computing mechanism for anomaly detection algorithm
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Rui Ma; Hui Cao; Shuzhi Sam Ge; Hongliang Ren
Year: 2015
Partitioned LSSVR modeling method for self adaption under multi operating conditions
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Si Gangquan; Guo Zhang; Shi Jianquan; Gao Hong
Year: 2015
Towards low-cost contactless high-temperature estimation based on colorimetrie fusion
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Hui Cao; Bo Zhou; Shuzhi Sam Ge; Hongliang Ren
Year: 2015
Performance evaluation of kernel functions based on grid search for support vector regression
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Xiaoyan Ma; Yanbin Zhang; Yanxia Wang
Year: 2015
COAST: Correlated material assisted STT MRAMs for optimized read operation
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Ahmedullah Aziz; Nikhil Shukla; Suman Datta; Sumeet Kumar Gupta
Year: 2015
A novel slope detection technique for robust STTRAM sensing
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Seyedhamidreza Motaman; Swaroop Ghosh; Jaydeep P. Kulkarni
Year: 2015
Optimizing Boolean embedding matrix for compressive sensing in RRAM crossbar
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Yuhao Wang; Xin Li; Yu Hao; Leibin Ni; Wei Yang; Chuliang Weng; Junfeng Zhao
Year: 2015
Fine-grained write scheduling for PCM performance improvement under write power budget
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Chun-Hao Lai; Shun-Chih Yu; Chia-Lin Yang; Hsiang-Pang Li
Year: 2015
A simulation framework for rapid prototyping and evaluation of thermal mitigation techniques in many-core architectures
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Tanguy Sassolas; Chiara Sandionigi; Alexandre Guerre; Julien Mottin; Pascal Vivet; Hela Boussetta; Nicolas Peltier
Year: 2015
Experimental characterization of in-package microfluidic cooling on a System-on-Chip
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Wen Yueh; Zhimin Wan; Yogendra Joshi; Saibal Mukhopadhyay
Year: 2015
Reducing dynamic energy of set-associative L1 instruction cache by early tag lookup
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Wei Zhang; Hang Zhang; John Lach
Year: 2015
Bank stealing for conflict mitigation in GPGPU Register File
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Naifeng Jing; Shuang Chen; Shunning Jiang; Li Jiang; Chao Li; Xiaoyao Liang
Year: 2015
Leveraging emerging nonvolatile memory in high-level synthesis with loop transformations
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Shuangchen Li; Ang Li; Yuan Zhe; Yongpan Liu; Peng Li; Guangyu Sun; Yu Wang; Huazhong Yang; Yuan Xie
Year: 2015
Enabling energy efficient Hybrid Memory Cube systems with erasure codes
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Shibo Wang; Yanwei Song; Mahdi Nazm Bojnordi; Engin Ipek
Year: 2015
Design of fine-grained sequential approximate circuits using probability-aware fault emulation
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:David May; Walter Stechele
Year: 2015
A power-aware digital feedforward neural network platform with backpropagation driven approximate synapses
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Jaeha Kung; Duckhwan Kim; Saibal Mukhopadhyay
Year: 2015
A neuromorphic neural spike clustering processor for deep-brain sensing and stimulation systems
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Beinuo Zhang; Zhewei Jiang; Qi Wang; Jae-Sun Seo; Mingoo Seok
Year: 2015
High-efficiency crossbar switches using capacitively coupled signaling
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Cagla Cakir; Ron Ho; Jon Lexau; Ken Mai
Year: 2015
An energy efficient and low cross-talk CMOS sub-THz I/O with surface-wave modulator and interconnect
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Yuan Liang; Hao Yu; Junfeng Zhao; Wei Yang; Yuangang Wang
Year: 2015
A compact low-power eDRAM-based NoC buffer
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Cheng Li; Paul Ampadu
Year: 2015
Collaborative gate implementation selection and adaptivity assignment for robust combinational circuits
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Hao He; Jiafan Wang; Jiang Hu
Year: 2015
Analysis of adaptive clocking technique for resonant supply voltage noise mitigation
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Paul N. Whatmough; Shidhartha Das; David M. Bull
Year: 2015
Exploring power attack protection of resource constrained encryption engines using integrated low-drop-out regulators
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Arvind Singh; Monodeep Kar; Jong Hwan Ko; Saibal Mukhopadhyay
Year: 2015
Fully-integrated switched-capacitor voltage regulator with on-chip current-sensing and workload optimization in 32nm SOI CMOS
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Xiaoyang Mi; Debashis Mandal; Visvesh Sathe; Bertan Bakkologlu; Jae-sun Seo
Year: 2015
Modeling and characterization of the system-level Power Delivery Network for a dual-core ARM Cortex-A57 cluster in 28nm CMOS
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Shidhartha Das; Paul Whatmough; David Bull
Year: 2015
Design and optimization of a reconfigurable power delivery network for large-area, DVS-enabled OLED displays
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Woojoo Lee; Yanzhi Wang; Donghwa Shin; Shahin Nazarian; Massoud Pedram
Year: 2015
Hardware-software interaction for run-time power optimization: A case study of embedded Linux on multicore smartphones
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Anup Das; Matthew J. Walker; Andreas Hansson; Bashir M. Al-Hashimi; Geoff V. Merrett
Year: 2015
CGSharing: Efficient content sharing in GPU-based cloud gaming
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Xiangyu Wu; Yuanfang Xia; Naifeng Jing; Xiaoyao Liang
Year: 2015
FreqLeak: A frequency step based method for efficient leakage power characterization in a system
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Arun Joseph; Anand Haridass; Charles Lefurgy; Sreekanth Pai; Spandana Rachamalla; Francesco Campisano
Year: 2015
Power benefit study of monolithic 3D IC at the 7nm technology node
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Kyungwook Chang; Kartik Acharya; Saurabh Sinha; Brian Cline; Greg Yeric; Sung Kyu Lim
Year: 2015
An optimal power supply and body bias voltage for a ultra low power micro-controller with silicon on thin box MOSFET
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Hayate Okuhara; Kuniaki Kitamori; Yu Fujita; Kimiyoshi Usami; Hideharu Amano
Year: 2015
Hierarchical power budgeting for Dark Silicon chips
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Muhammad Usman Karim Khan; Muhammad Shafique; Jorg Henkel
Year: 2015
Dynamic power management for many-core platforms in the dark silicon era: A multi-objective control approach
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Amir-Mohammad Rahmani; Mohammad-Hashem Haghbayan; Anil Kanduri; Awet Yemane Weldezion; Pasi Liljeberg; Juha Plosila; Axel Jantsch; Hannu Tenhunen
Year: 2015
DRVS: Power-efficient reliability management through Dynamic Redundancy and Voltage Scaling under variations
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Mohammad Salehi; Mohammad Khavari Tavana; Semeen Rehman; Florian Kriebel; Muhammad Shafique; Alireza Ejlali; Jorg Henkel
Year: 2015
Power-efficient embedded processing with resilience and real-time constraints
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Liang Wang; Augusto J. Vega; Alper Buyuktosunoglu; Pradip Bose; Kevin Skadron
Year: 2015
Power management for mobile games on asymmetric multi-cores
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Anuj Pathania; Santiago Pagani; Muhammad Shafique; Jorg Henkel
Year: 2015
An efficient DVS scheme for on-chip networks using reconfigurable Virtual Channel allocators
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Mohammad Sadrosadati; Amirhossein Mirhosseini; Homa Aghilinasab; Hamid Sarbazi-Azad
Year: 2015
Energy stealing - an exploration into unperceived activities on mobile systems
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Chi-Hsuan Lin; Yu-Ming Chang; Pi-Cheng Hsiu; Yuan-Hao Chang
Year: 2015
A win-win camera: Quality-enhanced power-saving images on mobile OLED displays
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Chih-Kai Kang; Chun-Han Lin; Pi-Cheng Hsiu
Year: 2015
Reconfigurable three dimensional photovoltaic panel architecture for solar-powered time extension
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Donghwa Shin; Naehyuck Chang; Yanzhi Wang; Massoud Pedram
Year: 2015
Reducing display power consumption for real-time video calls on mobile devices
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Mengbai Xiao; Yao Liu; Lei Guo; Songqing Chen
Year: 2015
A heuristic machine learning-based algorithm for power and thermal management of heterogeneous MPSoCs
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Arman Iranfar; Soheil Nazar Shahsavani; Mehdi Kamal; Ali Afzali-Kusha
Year: 2015
ReDEEM: A heterogeneous distributed microarchitecture for energy-efficient reliability
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Biruk Mammo; Ritesh Parikh; Valeria Bertacco
Year: 2015
Modeling and power optimization of cyber-physical systems with energy-workload tradeoff
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Hoeseok Yang; Soonhoi Ha
Year: 2015
Fixing sensor-related energy bugs through automated sensing policy instrumentation
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Yuanchun Li; Yao Guo; Junjun Kong; Xiangqun Chen
Year: 2015
Analysis and optimization of CMOS switched-capacitor converters
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Visvesh S. Sathe; Jae-sun Seo
Year: 2015
The digital bidirectional function as a hardware security primitive: Architecture and applications
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Teng Xu; Miodrag Potkonjak
Year: 2015
ThermTap: An online power analyzer and thermal simulator for Android devices
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Mohammad Javad Dousti; Majid Ghasemi-Gol; Mahdi Nazemi; Massoud Pedram
Year: 2015
Battery-aware energy-optimal Electric Vehicle driving management
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Korosh Vatanparvar; Jiang Wan; Mohammad Abdullah Al Faruque
Year: 2015
Interconnect synthesis of heterogeneous accelerators in a shared memory architecture
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Yu-Ting Chen; Jason Cong
Year: 2015
Reference-circuit analysis for high-bandwidth spin transfer torque random access memory
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Byungkyu Song; Taehui Na; Seong-Ook Jung; Jung Pill Kim; Seung H. Kang
Year: 2015
Power management in the Intel Xeon E5 v3
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Ankush Varma; Bill Bowhill; Jason Crop; Corey Gough; Brian Griffith; Dan Kingsley; Krishna Sistla
Year: 2015
Combined SRAM read/write assist techniques for near/sub-threshold voltage operation
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Farah B. Yahya; Harsh N. Patel; Vikas Chandra; Benton H. Calhoun
Year: 2015
Energy efficient sub/near-threshold ripple-carry adder in standard 65 nm CMOS
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Ali Asghar Vatanjou; Trond Ytterdal; Snorre Aunet
Year: 2015
Current-mode simultaneous bidirectional transceiver for on-chip global interconnects
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Nijwm Wary; Pradip Mandal
Year: 2015
SER mitigation technique through selective flip-flop replacement
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Pavan Vithal Torvi; V. R. Devanathan; Ashish Vanjari; V. Kamakoti
Year: 2015
Architectural error prediction using probabilistic error masking matrices
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Zheng Wang; Hui Xie; Saumitra Chafekar; Anupam Chattopadhyay
Year: 2015
A pipelined CORDIC architecture and its implementation in all-digital FM modulator-demodulator
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Trio Adiono; Nur Ahmadi; Antonius P. Renardy; Ashbir A. Fadila; Naufal Shidqi
Year: 2015
Automatic register balancing in model-based high-level synthesis
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Chandan Karfa
Year: 2015
SynDFG: Synthetic dataflow graph generator for high-level synthesis
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Sharad Sinha; Wei Zhang
Year: 2015
Design for reliablity: A novel counter matrix code for FPGA based quality applications
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Ahilan Appathurai; P. Deepa
Year: 2015
Optimizing test time for core-based 3-D integrated circuits by genetic algorithm
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Tanusree Kaibartta; Chandan Giri; Hafizur Rahaman; Debesh K. Das
Year: 2015
Detection of intermittent resistive faults in electronic systems based on the mixed-signal boundary-scan standard
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Hans G. Kerkhoff; Hassan Ebrahimi
Year: 2015
True 3D antenna for UHF RFID application
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Y. S. Zhang; Bin Wang; Haipeng Zhang; Weixin Kong
Year: 2015
Clock gating assertion check: An approach towards achieving faster verification closure on clock gating functionality
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Wang Jian Zhong; Norlaili Mohd Noh; Bakhtiar Affendi Rosdi
Year: 2015
An all-digital adaptive approach to combat aging effects in clock networks
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Senthil Arasu; Mehrdad Nourani; Hao Luo
Year: 2015
3-D global routing with layer directive and scenic constraints
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Zhongdong Qi; Yici Cai; Qiang Zhou; Zhuwei Chen
Year: 2015
Performance analysis of 22 nm deep submicron NMOS transistors
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Kim Ho Yeap; Jor Gie Liew; Siu Hong Loh; Humaira Nisar; Zairi Ismael Rizman
Year: 2015
Cluster error correction and on-line repair for real-time TSV array
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Tsung-Chu Huang
Year: 2015
Decision-based Biochips: A novel design for concurrent execution of networked bioassays integrated in scalable DMFBs
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Pranab Roy; Mriganka Chakraborty; Aatreyi Bal; Hafizur Rahaman; Parthasarathi Dasgupta
Year: 2015
Compact FPGA implementation of PRESENT with Boolean S-Box
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:J. J. Tay; M. L. D. Wong; M. M. Wong; C. Zhang; I. Hijazin
Year: 2015
High voltage MOS modeling with BSIM4 sub-circuit model
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Chiew Ching Tan; Philip Beow Yew Tan
Year: 2015
A field-based parasitic capacitance model with 3-D terminal and terminal fringe components
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Aixi Zhang; Wei Zhao; Yue Hu; Jin He; Qingxing He; Lei Song; Haiqin Zhou; Yong Wu
Year: 2015
Fully-hybrid computer-aided RF LNA design and evaluation for GSM-1900 standard band
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Farshad Eshghabadi; Fatemeh Banitorfian; Norlaili Mohd Noh; Mohd Tafir Mustaffa; Asrulnizam Abd Manaf
Year: 2015
A wide input voltage range start-up circuit for solar energy harvesting system
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Shourya Kansal; Ajay Mantha; Y. B. Priyamvada; Gajendranath Chowdary; S. G. Singh; Ashudeb Dutta
Year: 2015
On enhancing the reliability of digital microfluidic biochips (DMFB) through electrode cells health classification
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Madiha Arshad Sheikh; Noohul Basheer Zain Ali; Nor Hisham Hamid; Fawnizu Azmadi Hussin; Vineeta Shukla
Year: 2015
An on-chip transfer function measurement of PLLs with triangular modulated stimulus
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Toshiyuki Kikkawa; Toru Nakura; Kunihiro Asada
Year: 2015
PrimeTime web-based report analyzer (PTWRA) tool
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Fawaz Mohammed Jumaah; Sreedharan Baskara; Roslina Mohd Sidek; Fakhrul Zaman Rokhani
Year: 2015
The impact of platforms in product development: A case study in the Brazilian software industry
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Ana Lucia Figueiredo Facin; Mauro de Mesquita Spinola; Leonardo A. de Vasconcelos Gomes
Year: 2015
A study of the U.S. intrastate crowdfunding exemptions
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Ahmed Bohliqa
Year: 2015
Theoretical study of the quantitative analysis for the R&D process based on the modified Ising model: Cyclic Olefin Polymer of Zeon Corporation case study
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Hideki Hayashida; Hiroki Funashima; Hiroshi Katayama-Yoshida
Year: 2015
A systemic complex problem solving approach to process improvement
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:David J. Kruger
Year: 2015
New generations of Estonian eGovernment components
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Ahto Kalja; Tarmo Robal; Uuno Vallner
Year: 2015
Managing Cloud Computing risks in financial services institutions
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Paul Rohmeyer; Tal Ben-Zvi
Year: 2015
Capability building in Fuzzy Front End management in a high technology services company
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Claudio M. Vigna; Abraham S. O. Yu; Paulo T. S. Nascimento
Year: 2015
Synchronization process of R&D and product plan: Analysis with case example of mobile phone development by technology roadmapping tool
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Yoichiro Igarashi
Year: 2015
Compulsory licensing in medicine: Is it a safeguard for the people or an evil to kill innovation?
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Yaeko Mitsumori
Year: 2015
New approach for analyzing industrial dynamics and its application to the tire industry
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Kaoru Suzuki
Year: 2015
Visualization of knowledge integration in a Japanese cutting edge research institution: A multi level bibliometric perspective
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Alfonso Avila Robinson
Year: 2015
Sustainability and social innovation
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Yuya Kajikawa; Yuki Kaburaki
Year: 2015
Managing supplier transitions: Development and performance through work transfer
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Veekit O'Charoen; Jeoffrey H. Bispham
Year: 2015
Museums pool: A mobile application for museum network
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:L. Kovavisaruch; T. Sanpechuda; K. Chinda; T. Wongsatho; A. Chaiwongyen; S. Wisadsud
Year: 2015
Facilities Planning for a creative company
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Gabriel Bouhid Barradas; Vinicius Carvalho Cardoso; Regina Reis da Costa Alves
Year: 2015
Explaining health technology adoption: Past, present, future
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Noshad Rahimi; Antonie Jetter
Year: 2015
Cloud service adoption decision
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Greg Wease; Yu Chih-Jen; Kwasi Boateng; Leong Chan
Year: 2015
Using participatory process and tool for the assessment of climate change adaptation options
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:H. C. Lin; L. H. Lo; Y. Wu; Y. J. Lai; Albert C. T. Lee
Year: 2015
Exploratory study on the relationship between knowledge attributes and innovation behavior in SMEs in the information service industry
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Chien-Hsin Wu; Feng-Shang Wu; Wei-Yin Chen
Year: 2015
Assimilating external knowledge: A look at university-industry alliances
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Michael D. Santoro; Shanthi Gopalakrishnan
Year: 2015
Model ontology and information architecture
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Frederick Betz; Fred Phillips
Year: 2015
Emerging revenue model structure for mobile industry: The case for traditional and OTT service providers in Sub-Sahara
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Unathi Mahola; Louwrence Erasmus
Year: 2015
System convergence in the crafting and execution of a services directed strategy: A technology perspective
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Richard Weeks; Siebert Benade
Year: 2015
3D printing: On its historical evolution and the implications for business
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Elizabeth Matias; Bharat Rao
Year: 2015
A novel approach to identify research fronts of tourism literature
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Louis Y. Y. Lu; John S. Liu
Year: 2015
How much do they know? A survey of the foundational knowledge of incoming technology management undergraduates
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Guy H. Downs; Dorothy K. McAllen
Year: 2015
A study on the sales performance of automobiles: A case study of the US market
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Her-Her Tsai; Min-Jhih Cheng; Shiu-Wan Hung; Dong-Sing He; Lin-Wei Hu
Year: 2015
Are geeks driving out the fashion industry?
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Saori Kitaura; Yuichi Washida
Year: 2015
Dissent and games as a consideration in decision making: Case of Solar Photovoltaic Technologies
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Nasir Jamil Sheikh
Year: 2015
A study on effective knowledge reuse in multi-platform web applications user interfaces
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Jevgeni Marenkov; Tarmo Robal; Ahto Kalja
Year: 2015
Human centric cyber security: What are the new trends in data protection?
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Sul Kassicieh; Valerie Lipinski; Alessandro F. Seazzu
Year: 2015
Benefiting from external knowledge: Commercialization capability as a moderator
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Bou-Wen Lin; Chung-Jen Chen; Yi-Ching Wu
Year: 2015
Project management in product development: Toward a framework for targeted flexibility
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Antonie Jetter; Fatima Albar
Year: 2015
Organizational implementation of product architecture development
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Gunther Schuh; Martin Sommer; Stefan Rudolf
Year: 2015
Application of catalytic effect to create innovation
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Mariko Shiromura; Hiroshi Suzuki
Year: 2015
Sustainability knowledge utilization: challenges from internal and external perspectives
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Nina Tervonen; Ville Ojanen
Year: 2015
Profiting from strategic legal activities: Implication from non-practicing entities
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Syuan-Yi Jiang; Hsin-Ning Su
Year: 2015
Privatisation of power infrastructure in Nigeria: Consumer perception
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:B. A. Oluwale; T. O. Olaposi; T. O. Fadare; O. S. Ayanlade; G. O. Binuyo
Year: 2015
Exploring influence of R&D investment, import and export performances to patent value
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Chuan-Wei Kuo; Hsin-Ning Su
Year: 2015
Knowledge convergence between cloud computing and big data and analysis of emerging technological opportunities in Malaysia
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Siong Kiat Chan; Kumiko Miyazaki
Year: 2015
Factors for successfully integrating operational and information technologies
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Anastasia Kuusk; Jing Gao
Year: 2015
Technological change as evolving citation networks: The analysis of proton exchange membrane fuel cell
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:John S. Liu; Louis Y. Y. Lu; Shih-Chang Hung; Chia-Chieh Shen; Shuo-Jen Lee
Year: 2015
Unsupervised learning based patent landscapes using full-text patent data
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Arho Suominen; Hannes Toivanen
Year: 2015
A technology forecasting method for capabilities of a system of systems
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Vesa Kuikka; Juha-Pekka Nikkarila; Marko Suojanen
Year: 2015
Keys to innovation: System thinking, strategic alignment, technology focus
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Dietmar H. Winzker; Leon Pretorius
Year: 2015
An analysis about Internet communication competence for science and technology in China
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Wu Dan; Zhong Qi; Xu Ying; Lihui Wang
Year: 2015
Investigating technological evolution of mobile telecommunication industry by integrating dynamic competitive analysis and patent analysis
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Yi-Cheng Luo; Hsin-Ning Su
Year: 2015
Based on bibliometrics and content analysis of the literature on science and technology media
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Xiaoli Sun; Dan Wu; Chao Zhang
Year: 2015
Current status of smart systems and case studies of privacy protection platform for smart city in Japan
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Yutaka Mizuno; Nobutaka Odake
Year: 2015
PM competency for new businesses: A case study of a precision device manufacturer
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Nobuhiro Horie; Yasuo Ikawa
Year: 2015
How the usage of mobile multimedia internet devices changes internet TV consumer behaviors in Taiwan: Using PPS.tv (PPStream) as an example
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Mavis Tsai; YiFang Lin
Year: 2015
Communication system for home delivery business derived from air combat history
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Yu Ito
Year: 2015
Improving library efficiency to meet patron's needs: A data envelopment analysis benchmarking model
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Michael Clark
Year: 2015
Managing software engineering competences with domain ontology for customer and team profiling and training
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Tarmo Robal; Deniss Ojastu; Ahto Kalja; Hannu Jaakkola
Year: 2015
Evolution of emerging iPS cell-based therapies for age-related macular degeneration (AMD)
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Alfonso Avila-Robinson; Nazrul Islam
Year: 2015
Thailand's national research universities (NRUs) and their University-Industry Linkages (UILs) based on university-industry co-publications (UICs)
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Naparat Siripitakchai; Kumiko Miyazaki
Year: 2015
Connecting customers with engineers for the successful fuzzy front end: Requirements of tools
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Byung Sung Yoon; Antonie J. Jetter
Year: 2015
Framework for standardization programs in the Engineer-To-Order industry
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Jan Vollmar; Michael Gepp
Year: 2015
Central Indiana STEM Talent Expansion Program: Student and faculty interventions
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Stephen P. Hundley; Charles R. Feldhaus; Jeffrey X. Watt; Kathleen A. Marrs; Andy Gavrin; Howard Mzumara
Year: 2015
Assessing the effectiveness of big data initiatives
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Nayem Rahman; Fahad Aldhaban
Year: 2015
A hybrid RFID case-based system for handling air cargo storage location assignment operations in distribution centers
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:K. H. Leung; K. L. Choy; M. C. Tam; C. H. Y. Lam; C. K. H. Lee; Stephen W. Y. Cheng
Year: 2015
Complementary products providers' technological adoption and evolution of the Business Ecosystem: The case study of Nintendo's Wii in Japanese Video Game Sector
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Yuki Inoue; Masaharu Tsujimoto
Year: 2015
Designing the coherent ecosystem: Review of the ecosystem concept in strategic management
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Masaharu Tsujimoto; Yuya Kajikawa; Junichi Tomita; Yoichi Matsumoto
Year: 2015
Technology readiness levels at 40: A study of state-of-the-art use, challenges, and opportunities
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Alison Olechowski; Steven D. Eppinger; Nitin Joglekar
Year: 2015
Mechatronics: From systems combination to business integration
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Christian Koch; John Bang Mathiasen; Nathalie Nyffeler; Alain Schorderet
Year: 2015
Factors related to academic patenting in a Mexican University
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Claudia Diaz Perez; M. Alejandro Alarcon Osuna
Year: 2015
Actors' engagement in sustainable hydrogen energy innovation: A comparative analysis
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Nazrul Islam; Yuya Kajikawa
Year: 2015
Investigating the adoption of electric vehicles using agent-based model
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Yonghee Cho; Kevin V. Blommestein
Year: 2015
Diffusion of innovation in the public sector: Twitter adoption by municipal police departments in the U.S.
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Megan Anderson; Kieran Lewis; Ozgur Dedehayir
Year: 2015
An intelligent fuzzy-based storage assignment system for packaged food warehousing
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Yasmin Y. Y. Hui; K. L. Choy; G. T. S. Ho; Cathy H. Y. Lam; C. K. H. Lee; Stephen W. Y. Cheng
Year: 2015
Exploring the adoption and use of the smartphone technology in emerging regions: A literature review and hypotheses development
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Fahad Aldhaban; Tugrul U. Daim; Robert Harmon
Year: 2015
Pre-negotiated influences on business-IT alignment in a project setting
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Vernon Bachor; Mike Chiasson
Year: 2015
Exploring the adoption intentions through decomposed theory of planned behavior: An empirical study on mobile applications
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Min-Jhih Cheng; Her-Her Tsai; Shiu-Wan Hung; Ping-Wen Chen
Year: 2015
Product architecture and product development: Case study of Korean shipbuilding firms
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:YoungWon Park; Paul Hong
Year: 2015
A pilot study on the internationalization of Taiwanese agri-biotech SMEs: A Technology-Organization-Environment (TOE) perspective
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Ed Camargo; Min-Ying Wang
Year: 2015
Impacts of collaborative information systems quality on software development success in Indian software firms
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Khire Rushikesh Ulhas; Juite Wang; Jung-Yu Lai
Year: 2015
The Strategy of Openness in industrial production
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Tobias Redlich; Stefanie Wulf; Manuel Moritz; Sonja Buxbaum-Conradi; Pascal Krenz; Jens Wulfsberg
Year: 2015
Assessing emerging automotive technologies for the future
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Aurobindh Kalathil Puthanpura; Rafaa Khalifa; Leong Chan
Year: 2015
A comprehensive assessment of cloud computing for smart grid applications: A multi-perspectives framework
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Nina Chaichi; Joao Lavoie; Soheil Zarrin; Rafaa Khalifa; Felix Sie
Year: 2015
Exploring the design factors of smart glasses
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Ali Emre Ok; Nuri A. Basoglu; Tugrul Daim
Year: 2015
Requirements degradation for the creation of a first prototype
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Jeremy Green; A. Marnewick; J. H. C. Pretorius
Year: 2015
Forecasting OLED TV technology using bibliometrics and Fisher-Pry diffusion model
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Yonghee Cho; Tugrul U. Daim; Paul Sklar
Year: 2015
Developing strategic decision making process for product and service planning
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Yonghee Cho
Year: 2015
Applying TRIZ and bass model to forecast fitness tracking devices technology
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Sowmini Sengupta; Jisun Kim; Seong Dae Kim
Year: 2015
Customer relationship management and innovation as performance drivers
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Hsin-Hui Hu; Hsin-Yi Hu; Haragopal Parsa
Year: 2015
A business-intelligence-based concept for the identification of similar parts
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Jens F. Lachenmaier; Hans-Georg Kemper
Year: 2015
Assessment of IP management in Agricultural Biotechnology Industry: Insight from a case study
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Hebron C. Chang; Hsin-Ning Su
Year: 2015
Decoding data analytics capabilities from topic modeling on press releases
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:JeanCarlo Bonilla; Bharat Rao
Year: 2015
How the diffusion of smart phones will change public opinion surveys in Taiwan: The feasibility of using blended samples of landline and cell-phone numbers for telephone surveys
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Mavis Tsai
Year: 2015
Challenges in globalization to protect technological knowledge
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Kentaro Umeda; Kunio Shirahada
Year: 2015
Do early adopters upgrade early?: An empirical study of mobile 4G service
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Chia-Hung Wu; Fang-Mei Tseng; Ju-Yin Weng
Year: 2015
Fuzzy cognitive research on influencing factors of technology innovation: In view of path dependence
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Jing Hu; Yong Zhang; Yilin Wang
Year: 2015
Matching partners for Open Innovation practice
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Nisit Manotungvorapun; Nathasit Gerdsri
Year: 2015
Adapting the beacon interval for opportunistic network communications
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Salem Sati; Kalman Graffi
Year: 2015
Reliable prediction of channel assignment performance in wireless mesh networks
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Srikant Manas Kala; Ranadheer Musham; M. Pavan Kumar Reddy; Bheemarjuna Reddy Tamma
Year: 2015
Scheduling in dynamic spectrum access networks using graph coloring
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Kumar H. S. Navin; Mainak Chatterjee
Year: 2015
Spectrum auctions in the secondary market with multiple bids
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Santhoshini J. R. Shobbana; Mainak Chatterjee
Year: 2015
Radio co-location aware channel assignments for interference mitigation in wireless mesh networks
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Srikant Manas Kala; M. Pavan Kumar Reddy; Ranadheer Musham; Bheemarjuna Reddy Tamma
Year: 2015
Prototype for multiple applications using Near Field Communication (NFC) technology on android device
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Subhasini Dwivedi; Jason Roger D'Souza
Year: 2015
Characterization of mmWave link for outdoor communications in 5G networks
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:M. Sheeba Kumari; Sudarshan A. Rao; Navin Kumar
Year: 2015
A concurrent dual band LNA using frequency transformation based matching network for WCDMA and navigational C-band application
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:V. Bandgar Prashant; V. Khobragade Sanjay
Year: 2015
Mobile applications: Analyzing private data leakage using third party connections
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Pradeep Kumar; Maninder Singh
Year: 2015
A survey based analysis of propagation models over the sea
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:P. A. Sumayya Balkees; Kalyan Sasidhar; Sethuraman Rao
Year: 2015
Novel UWB Swastika slot antenna with concentric circular slots and modified ground plane with inverted L-shaped slots
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Seera Dileep Raju; Bojja Haranath; Lakhan Panwar
Year: 2015
A comparative study of different notches for WLAN rejection in a planar UWB antenna
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Divyanshu Upadhyay; Indranil Acharya
Year: 2015
An experimental evaluation of impact of synchronization on GSM network performance
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:R. K. Manjunath; K. Nagabhushan Raju
Year: 2015
A mixed strategy game theoretic approach to dynamic load balancing in cellular networks
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Anshul Mittal; Manish Kumar Sharma
Year: 2015
Channel coding performance of optical MIMO indoor visible light communication
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Mahesh Kumar Jha; Anusha Addanki; Y. V. S. Lakshmi; Navin Kumar
Year: 2015
Resource sharing in D2D communication underlaying cellular LTE-A networks
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Ajay Pratap; Rajiv Misra
Year: 2015
Resource aware traffic grooming with shared protection at connection in WDM mesh networks
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Asima Bhattacharya; Marichi Agarwal; Sana Tabassum; Monish Chatterjeee
Year: 2015
A high gain 2-element Microstrip array antenna with Circular Polarisation for RFID Applications
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Bhushan Bhimrao Dhengale; Deepak C. Karia
Year: 2015
Performance evaluation of band-limited LPC vocoder and band-limited RELP vocoder in adaptive feedback cancellation
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Ankita Anand; Richa Bhatia
Year: 2015
Multiband circularly polarized symmetrical fractal boundary microstrip antenna for microwave applications
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Y. Navya Sree; P. V. Avinash Reddy; K. Ajay Kumar; P. Gokul Krishna; J. Lakshmi Narayana
Year: 2015
Synchronization in IEEE 802.15.4 Zigbee transceiver using Matlab Simulink
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Kavya Gorantla; V. V. Mani
Year: 2015
Three-dimensional geometrical channel modeling with different scatterer distributions
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Priyashantha Tennakoon; Chandika B. Wavegedara
Year: 2015
A novel variable step-size feedback Filtered-X LMS algorithm for acoustic noise removal
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Ashok Behuria; B. P. Mishra; Pankaj Goel; Asutosh Kar; Shibalik Mohapatra; Mahesh Chandra
Year: 2015
An unique adaptive noise canceller with advanced variable-step BLMS algorithm
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Monali Dhal; Monalish Ghosh; Pankaj Goel; Asutosh Kar; Shibalik Mohapatra; Mahesh Chandra
Year: 2015
Multiple predictors based RW scheme with adaptive image partitioning
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Hirak Kumar Maity; Santi P. Maity
Year: 2015
Performance analysis of MIMO systems under multipath fading channels using linear equalization techniques
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Khushboo Pachori; Amit Mishra
Year: 2015
Cloud Hopfield neural network: Analysis and simulation
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Narotam Singh; Amita Kapoor
Year: 2015
A parallel GWO technique for aligning multiple molecular sequences
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:J. Jayapriya; Michael Arock
Year: 2015
Extraction of relevant dataset for support vector machine training: A comparison
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:K. D. Adeena; R. Remya
Year: 2015
Mining the impact of object oriented metrics for change prediction using Machine Learning and Search-based techniques
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Ruchika Malhotra; Megha Khanna
Year: 2015
Tsallis entropy and particle swarm optimization-based cyclone image vortex localization
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Harish Anil Jamakhandi; D. Tilak; K. Manikantan; Seetharaman Ramachandran
Year: 2015
A technique for associating political alignment to users
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Rishi Singh; Rajdeep Niyogi
Year: 2015
Centroid based Binary Tree Structured SVM for multi classification
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Aruna Govada; Bhavul Gauri; S. K. Sahay
Year: 2015
A model for controlling variance in the Artificial Bee Colony algorithm
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Satish Chandra; Vivek Kothari; Mudita Sharma
Year: 2015
Mining defect reports for predicting software maintenance effort
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Rajni Jindal; Ruchika Malhotra; Abha Jain
Year: 2015
Improve the channel performance of Wireless Multimedia Sensor Network using MIMO properties
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Arjav A. Bavarva; Preetida V. Jani
Year: 2015
Modeling pattern abstraction in cerebellum and estimation of optimal storage capacity
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Asha Vijayan; Chaitanya Medini; Anjana Palolithazhe; Bhagyalakshrni Muralidharan; Bipin Nair; Shyam Diwakar
Year: 2015
Performance analysis of adaptive filtering algorithms for denoising of ECG signals
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Nasreen Sultana; Yedukondalu Kamatham; Bhavani Kinnara
Year: 2015
Historical document enhancement using Shearlet Transform and mathematical morphological operations
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:D. Ranganatha; Ganga Holi
Year: 2015
Clustering of dynamic functional connectivity features obtained from functional Magnetic Resonance Imaging data
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Vajarala Ashikh; Gopikrishna Deshpande; D. Rangaprakash; D. Narayana Dutt
Year: 2015
The effect of DC coefficient on mMFCC and mIMFCC for robust speaker recognition
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Diksha Sharma; Israj Ali
Year: 2015
Brain tumor extraction from MRI brain images using marker based watershed algorithm
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:C. C. Benson; V. L. Lajish; Kumar Rajamani
Year: 2015
Brain computer interface based assistive device
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:C. R. Ramesh; Lyla B. Das
Year: 2015
Pyranone Benzene complexes as potential nano-flippers: A DFT study
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Bindu P. Nair; T. K. Manojkumar; K. M. Sreedhar; Asraf V. Mohamed; Zainul Hukuman
Year: 2015
Parallelization of searching and mining time series data using Dynamic Time Warping
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Ahmed Shabib; Anish Narang; Chaitra Prasad Niddodi; Madhura Das; Rachita Pradeep; Varun Shenoy; Prafullata Auradkar; T. S. Vignesh; Dinkar Sitaram
Year: 2015
Comparative analysis of scheduling algorithms for grid computing
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Shyna Sharma; Amit Chhabra; Sandeep Sharma
Year: 2015
MR-VSM: Map Reduce based vector Space Model for user profiling-an empirical study on News data
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Anjali Gautam; Punam Bedi
Year: 2015
Client-side verifiable accounting in infrastructure cloud
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Varun Bhardwaj; Anamika Sharma; Gaurav Somani
Year: 2015
A stackelberg game to incentivize cooperation in BitTorrent
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Doshi Chintan Ketankumar; K. Chandrasekaran
Year: 2015
Co-operation based game theoretic approach for resource bargaining in cloud computing environment
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Gopal Kirshna Shyam; SunilKumar S. Manvi
Year: 2015
CAB: Cloudlets as Agents of Cloud Brokers
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Chhabi Rani Panigrahi; Mayank Tiwary; Bibudhendu Pati; Rachita Misra
Year: 2015
Cascket: A binary protocol based c client-driver for Apache Cassandra
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Sarang Karpate; Abhishek Joshi; Javed Dosani; Jibi Abraham
Year: 2015
Pre processing of evidences from cloud components for effective forensic analysis
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:S. Saibharath; G. Geethakumari
Year: 2015
A Neural Data Security Model: Ensure high confidentiality and security in cloud datastorage environment
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:S. Jegadeeswari; P. Dinadayalan; N. Gnanambigai
Year: 2015
A new hybrid scheduling in cloud environment
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Komal; Arvinder Kaur
Year: 2015
An efficient resource allocation (ERA) mechanism in Iaas cloud
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Rajalakshmi Shenbaga Moorthy
Year: 2015
Shamir's key based confidentiality on cloud data storage
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:D. Kamalraj; B. Balamurugan; S. Jegadeeswari; M. Sugumaran
Year: 2015
Secured fast prediction of cloud data stream with balanced load factor using Ensemble Tree Classification
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:B. Balamurugan; D. Kamalraj; S. Jegadeeswari; M. Sugumaran
Year: 2015
A new hybrid approach for overlay construction in P2P live streaming
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Kunwar Pal; M. C. Govil; Mustaq Ahmed
Year: 2015
A novel secure cloud storage architecture combining proof of retrievability and revocation
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Deepnarayan Tiwari; G. R. Gangadharan
Year: 2015
Cloud based Dual Auction (DA) and A* and IDA* Searching models using BH - Strategy for resource allocation in e-markets
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:M. N. Faruk; K. Lakshmi Prasad; P. Srinivasulu
Year: 2015
Energy efficient and high performance 64-bit Arithmetic Logic Unit using 28nm technology
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Shruti Murgai; Ashutosh Gupta; Gayathri Muthukrishnan
Year: 2015
Effective design of logic gates and circuit using quantum cellular automata (QCA)
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Libi Balakrishnan; Thiagarajan Godhavari; Sujatha Kesavan
Year: 2015
FSMD RTL design manipulation for clock interface abstraction
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Syed Saif Abrar; Maksim Jenihhin; Jaan Raik
Year: 2015
Optimizing response time and synchronization in User Virtualization via adaptive memory compression
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Mayank Kulkarni; Rushikesh Ghatpande; Nikunj Karnani; Jibi Abraham
Year: 2015
Gating techniques for 6T SRAM cell using different modes of FinFET
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Deeksha Anandani; Anurag Kumar; V. S. Kanchana Bhaaskaran
Year: 2015
Network on chip based multi-function image processing system using FPGA
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Zalak Dave; Shivank Dhote; Jonathan Joshi; Abhay Tambe; Sachin Gengaje
Year: 2015
Scaling number of cores in GPGPU: A comparative performance analysis
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Winnie Thomas; Rohin D. Daruwala
Year: 2015
Memory array with complementary resistive switch with memristive characteristics
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Sneha Mohan Patil; S. R. S. Prabhaharan
Year: 2015
A novel design of optical logic gate AND, OR and NOT with Polyvinyl-Chloride Multiwalled Carbon Nano Tube
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Mihir Desani; Arjav Bavarva; Vishal Sorathiya
Year: 2015
VLSI architecture of Pairwise Linear SVM for facial expression recognition
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Sumeet Saurav; Anil K. Saini; Sanjay Singh; Ravi Saini; Shradha Gupta
Year: 2015
VLSI architecture of exponential block for non-linear SVM classification
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Shradha Gupta; Sumeet Saurav; Sanjay Singh; Anil K. Saini; Ravi Saini
Year: 2015
Implementing a cloud based Xilinx ISE FPGA design platform for integrated remote labs
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Jinalkumar Doshi; Pratiksha Patil; Zalak Dave; Ganesh Gore; Jonathan Joshi; Reena Sonkusare; Surendra Rathod
Year: 2015
An efficient approach for design and testing of FPGA programming using Lab VIEW
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:B. Naresh Kumar Reddy; N. Suresh; J. V. N. Ramesh; T. Pavithra; Y. Krupa Bahulya; Pranose J. Edavoor; S. Janaki Ram
Year: 2015
FPGA implementation of multiplication algorithms for ECC
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Ravi Kishore Kodali; Lakshmi Boppana; Av Saikiran; Chandana N. Amanchi
Year: 2015
Performance analysis of low power microcode based asynchronous P-MBIST
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Yasha Jyothi M. Shirur; Bilure Chetana Bhimashankar; Veena S. Chakravarthi
Year: 2015
Low power analog VLSI implementation of cortical neuron with threshold modulation
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Ethoti Radhika; Sanjeev Kumar; Anita Kumari
Year: 2015
Optical theremin based true Random Number Generation (TRNG) system
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Rahul Sharma; Ramya Ullagaddimath; Amit Baran Roy; Apratim Halder; Veena Hegde
Year: 2015
Design of Vedic-multiplier using area-efficient Carry Select Adder
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:G. R. Gokhale; P. D. Bahirgonde
Year: 2015
Spice algorithm implementation for optical network analysis
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Soumya Sajjan; Anant Kulkarni; Vikram Seshasai; G. Sadashivappa
Year: 2015
Microcontroller based RR-Interval measurement using PPG signals for Heart Rate Variability based biometric application
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Nazneen Akhter; Sumegh Tharewal; Hanumant Gite; K. V. Kale
Year: 2015
A node scheduling approach in community based routing in social Delay Tolerant Networks
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Nikhil Gondaliya; Mehul Shah; Dhaval Kathiriya
Year: 2015
Onboard processor validation for space applications
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:A. Savitha; Rajiv R. Chetwani; M. Ravindra; K. M. Baradwaj
Year: 2015
Frequency estimator to improve short range accuracy in FMCW radar
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Anuja Chaudhari; Sapna Prabhu; Raymond Pinto
Year: 2015
Observations from study of pre-islanding behaviour in a solar PV system connected to a distribution network
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Shashank Vyas; Rajesh Kumar; Rajesh Kavasseri
Year: 2015
A key based security mechanism for payment status in cloud service access control system
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Gagandeep Kaur; Arvinder Kaur
Year: 2015
Design and evaluation of FPGA based frequency demodulators
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:E. Senthilkumar; J. Manikandan; V. K. Agrawal
Year: 2015
Dependence of radius of cylindrical ground plane on the performance of coplanar based microstrip patch
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Tarun S. Cousik; K. Ameer Banu; H. Harsha Pillai; M. Jayakumar
Year: 2015
Design and performance analysis of Substrate Integrated Waveguide based cavity backed slot antenna for MIMO configuration
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Arya Madathil; Ashwita Nair; Chaitra Satish; Neha R. Nair; P. V. Nivedha Priyadarsini; M. Jayakumar
Year: 2015
Two Dimensional Array of Layered Metal-Dielectric Sandwich Structures for Terahertz Applications
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:M. S. Srinikethan; S. Aravind; N. Avinash; G. A. Shanmugha Sundaram
Year: 2015
3-D stack of waveguide structures with hour-glass slot structure for terahertz antenna applications
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:S. Harish; S. Srinivasan; M. S. Srinikethan; Sundaram G. A. Shanmugha
Year: 2015
An architecture of baseband data handling system for deep space mission and realization for Mars Orbiter Mission
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:K. H. Jeeja; K. Keerthi; A. Lakshmi; S. H. Shantala; Jothy Soman; P. S. Sura; N. Valarmathi
Year: 2015
Adaptive filtering a descreening approach for color scanned halftones
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Apeksha M. Gopale; Tanuja K. Sarode
Year: 2015
Detecting the network attack vectors on SCADA systems
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Ram Sandesh Ramachandruni; Prabaharan Poornachandran
Year: 2015
Data cleaning: An abstraction-based approach
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Dileep Kumar Koshley; Raju Halder
Year: 2015
Simulation of flow past a wing inspired by flying snakes
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Vertika Saxena; Balajee Ramakrishnananda; T. Rajesh Senthil Kumar
Year: 2015
Novel self-learning based crawling and data mining for automatic information extraction
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Kumar A. V. Arun; Hemant Kumar Rath; Shameemraj M. Nadaf; Anantha Simha
Year: 2015
Security maturity in NoSQL databases - are they secure enough to haul the modern IT applications?
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Sethuraman Srinivas; Archana Nair
Year: 2015
Automatic detection of k with suitable seed values for classic k-means algorithm using DE
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Chayan Bala; Tripti Basu; Abhijit Dasgupta
Year: 2015
Component based reliability assessment from UML models
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Vaishali Chourey; Meena Sharma
Year: 2015
Privacy-preserving frequent itemset mining in outsourced transaction databases
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Iyer Chandrasekharan; P. K. Baruah; Ravi Mukkamala
Year: 2015
An automated approach for classification of plant diseases towards development of futuristic Decision Support System in Indian perspective
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Yogesh Dandawate; Radha Kokare
Year: 2015
Analysis of data management and query handling in social networks using NoSQL databases
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Anita Brigit Mathew; S. D. Madhu Kumar
Year: 2015
Efficiency analysis of kernel functions in uncertainty based c-means algorithms
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Dishant Mittal; B. K. Tripathy
Year: 2015
Email forensic analysis based on k- means clustering
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Arya P. Nampoothiri; Minu Lalitha Madhavu
Year: 2015
Personalization of Web Search based on privacy protected and auto-constructed user profile
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Rasika M. Kaingade; Hemant A. Tirmare
Year: 2015
Spam filtering using hybrid local-global Naive Bayes classifier
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Rohit Kumar Solanki; Karun Verma; Ravinder Kumar
Year: 2015
Twitter sentiment classification using machine learning techniques for stock markets
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Mohammed Qasem; Ruppa Thulasiram; Parimala Thulasiram
Year: 2015
Normalized weighted and reverse weighted correlation based Apriori algorithm
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Amimul Ehsan; Nagamma Patil
Year: 2015
Knowledge representation and assessment using concept based learning
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Nandu C. Nair; J. S. Archana; Shiffon Chatterjee; Kamal Bijlani
Year: 2015
Anti-scraping application development
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Afzalul Haque; Sanjay Singh
Year: 2015
Influence of customer acceptance of online sales channel on firm profits under channel competition
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:T. M. Rofin; Biswajit Mahanty
Year: 2015
An expert system for the mauritian family law
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Sameerchand Pudaruth; Kharuna Mooneapillay
Year: 2015
Concept mapping and assessment of virtual laboratory experimental knowledge
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Krishnashree Achuthan; Lakshmi S. Bose
Year: 2015
Optimizing ATM placement using game theory
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Raja Rathnam; Naidu Kanapaka; Raghu Kisore Neelisetti
Year: 2015
Information Security concerns in Digital Services: Literature review and a multi-stakeholder approach
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Himanshu Singhal; Arpan Kumar Kar
Year: 2015
Learners and educators attitudes towards mobile learning in higher education: State of the art
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Mostafa Al-Emran; Khaled Shaalan
Year: 2015
Work in Progress - an approach for mapping of auto generated questions to related topics in the curriculum
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Syaamantak Das; Rajeev Chatterjee
Year: 2015
Simulating consequences of smoking with Augmented Reality
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:B. Remya Mohan; Kamal Bijlani; R. Jayakrishnan
Year: 2015
The impact of online advertising by educational institutions on the students
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Anjali Harikumar
Year: 2015
Efficient bandwidth utilization during message dissemination among authentic vehicles in VANET
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Bidisha Bhabani; Sulata Mitra
Year: 2015
GAE3BR: Genetic algorithm based energy efficient and energy balanced routing algorithm for Wireless Sensor Networks
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Ram N. Shukla; Arvind S. Chandel; Suneet K. Gupta; J. Jain; A. Bhansali
Year: 2015
Middle Position Dynamic Energy Opportunistic Routing for Wireless Sensor Networks
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Mayank Sharma; Yashwant Singh
Year: 2015
Energy efficient detection of malicious nodes using secure clustering with load balance and reliable node disjoint multipath routing in Wireless Sensor Networks
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Pavithra Bhat; K. Satyanarayan Reddy
Year: 2015
Energy efficient routing in multi-level LEACH for WSNs
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Ravi Kishore Kodali
Year: 2015
Energy efficient QoS aware MAC layer time slot allocation scheme for WBASN
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Tamanna Puri; Rama Krishna Challa; Navneet Kaur Sehgal
Year: 2015
Energy efficient m- level LEACH protocol
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Ravi Kishore Kodali; A. Venkata Sai Kiran; Shikha Bhandari; Lakshmi Boppana
Year: 2015
Low Power Wireless Health Monitoring System
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Vinayak Kini; Chinmay Patil; Siddhesh Bahadkar; Sharvil Panandikar; Akhilesh Sreedharan; Abhay Kshirsagar
Year: 2015
Energy efficient cognitive cross-layer MAC protocol
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Deepti Singhal; Rama Murthy Garimella
Year: 2015
A fault tolerant approach to extend network life time of wireless sensor network
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Ravindra V. Kshirsagar; Ashish B. Jirapure
Year: 2015
Cross Layer Best effort QoS aware routing protocol for Ad Hoc Network
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Mahadev A. Gawas; Lucy J. Gudino; K. R. Anupama
Year: 2015
Weightage based Secure Energy Efficient Clustering algorithm in MANET
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Kanwaljeet Kaur; Jaspinder Singh; Himani
Year: 2015
Addressing node isolation attack in OLSR protocol
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Balaji S. Shivanakar; Sandeep A. Thorat
Year: 2015
Energy, link stability and queue aware OLSR for Mobile Ad hoc Network
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Rohit B. Patil; Ashwini B. Patil
Year: 2015
Automated Surveillance of Computer Monitors in Labs
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Khalid Babutain; Saied Alaklobi; Anwar Alghamdi; Sreela Sasi
Year: 2015
Recognition of stonefish from underwater video
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Hattan Ashour; Sreela Sasi
Year: 2015
Novel leases for IaaS cloud
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Sanjaya K. Panda; Prasanta K. Jana
Year: 2015
A modified MFCC feature extraction technique For robust speaker recognition
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Diksha Sharma; Israj Ali
Year: 2015
Hybrid carrier based space vector modulation for PV fed asymmetric cascaded multilevel inverter
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:N. Sujitha; S. Krithiga
Year: 2015
Classification and clustering for neuroinformatics: Assessing the efficacy on reverse-mapped NeuroNLP data using standard ML techniques
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Nidheesh Melethadathil; Priya Chellaiah; Bipin Nair; Shyam Diwakar
Year: 2015
An adaptive method for mining frequent itemsets efficiently: An improved header tree method
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:O. Jamsheela; G. Raju
Year: 2015
Securing the contents of document images using knight moves and genetic approach
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Jalesh Kumar; S. Nirmala
Year: 2015
On selection of attributes for entropy based detection of DDoS
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Sidharth Sharma; Santosh Kumar Sahu; Sanjay Kumar Jena
Year: 2015
Hardware-software co-design of elliptic curve digital signature algorithm over binary fields
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Bhanu Panjwani; Deval C. Mehta
Year: 2015
Boiler efficiency estimation from hydrogen content in fuel
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:C. L. Chayalakshmi; D. S. Jangamshetti; Savita Sonoli
Year: 2015
An approach for feature-level bug prediction using test cases
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Prateek Anand
Year: 2015
Mobile cloud integration for industrial data interchange
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Pinku Hazarika; Sanath Shenoy; Seshu Babu Tolety; Naresh Kalekar
Year: 2015
Quantitative assessment of applications for cloud bursting
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Krishna Kumar Gopinathan; Raghu P. Pushpakath; Sachin Kanoth Madakkara
Year: 2015
Globally accessible machine automation using Raspberry pi based on Internet of Things
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:V. Sandeep; K. Lalith Gopal; S. Naveen; A. Amudhan; L. S. Kumar
Year: 2015
Design and implementation of sample and hold circuit in 180nm CMOS technology
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:T. G. Prakruthi; Siva Yellampalli
Year: 2015
Bus bandwidth monitoring, prediction and control
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Nitin Chaudhary; Thummala Pallavi; Zaheer Sheriff K
Year: 2015
Machine learning for seizure prediction: A revamped approach
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:A. Sai Kumar; Lavi Nigam; Deepthi Karnam; Sreerama K. Murthy; Petro Fedorovych; Vasu Kalidindi
Year: 2015
Enhancement of unambiguous DOA estimation for Phase Comparison Monopulse radar
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:A. R. Vandana; Vinod Kumar Jaysaval; C. R. Byra Reddy
Year: 2015
Interfacing ICRH DAC system with WEB
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Ramesh Joshi; H. M. Jadav; S. V. Kulkarni
Year: 2015
Train rolling stock segmentation with morphological differential gradient active contours
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:P. V. V. Kishore; Ch Raghava Prasad
Year: 2015
Adaptive digital scan variable pixels
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Sherin Sugathan; Reshma Scaria; Alex Pappachen James
Year: 2015
Feature extraction and LDA based classification of lung nodules in chest CT scan images
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Taruna Aggarwal; Asna Furqan; Kunal Kalra
Year: 2015
Image segmentation using thresholding for cell nuclei detection of colon tissue
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Archana A. Nawandhar; Lakshmi Yamujala; Navin Kumar
Year: 2015
Offline handwritten Signature Verification using low level stroke features
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Mohitkumar A. Joshi; Mukesh M. Goswami; Hardik H. Adesara
Year: 2015
A Quantum Bi-Directional Self-Organizing Neural Network (QBDSONN) for binary image denoising
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Debanjan Konar; Siddhartha Bhattacharyya; Nibaran Das; Bijaya Ketan Panigrahi
Year: 2015
Classification of handwritten Gujarati numerals
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Archana N. Vyas; Mukesh M. Goswami
Year: 2015
DPCM Block-based Compressed sensing with frequency domain filtering and Lempel-Ziv-Welch compression
Publisher: IEEE - Institute of Electrical and Electronics Engineers, Inc.
Authors:Soham Bhattacharjee; Saikat Kundu Choudhury; Shrayan Das; Ankita Pramanik
Year: 2015
See more:
1
200
250
300
350
400
450
490
500
510
520
530
540
541
542
543
544
545
546
547
548
549
550
551
552
553
560
570
580
590
600
610
650
700
750
800
850
900
1000
1500
2000
2500
3000
3500
4961