UNLIMITED FREE ACCESS TO THE WORLD'S BEST IDEAS

SUBMIT
Already a GlobalSpec user? Log in.

This is embarrasing...

An error occurred while processing the form. Please try again in a few minutes.

Customize Your GlobalSpec Experience

Finish!
Privacy Policy

This is embarrasing...

An error occurred while processing the form. Please try again in a few minutes.

IEEE 1076.2

Standard VHDL Mathematical Packages

active, Most Current
Buy Now
Organization: IEEE
Publication Date: 19 September 1996
Status: active
Page Count: 41
scope:

This standard is embodied in the MATH_REAL and MATH_COMPLEX package declarations, and in the semantics of the standard mathematical definition and the conventional meaning of the functions that are part of this standard, along with 1.3. The information in annex A is a guide to users and implementors and is not a normative part of this standard, but suggests ways in which one might use this set of packages. The information in annex B is provided as a guideline for implementors and is not a normative part of this standard, but suggests ways in which implementors may implement this standard. The functions in this set of packages were chosen because of their widespread utility, as well as because they are needed to support general foating-point usage and to build other generic packages.

Document History

IEEE 1076.2
September 19, 1996
Standard VHDL Mathematical Packages
This standard is embodied in the MATH_REAL and MATH_COMPLEX package declarations, and in the semantics of the standard mathematical definition and the conventional meaning of the functions that are...
September 19, 1996
Standard VHDL Mathematical Packages
A description is not available for this item.

References

Advertisement